(command.CommandList
  children: [
    (C {<Id.KW_Set set>} {<-e>})
    (command.CommandList
      children: [
        (command.Sentence
          child: 
            (command.ShAssignment
              pairs: [
                (assign_pair
                  lhs: (sh_lhs_expr.Name name:common_objpfx)
                  op: assign_op.Equal
                  rhs: {($ Id.VSub_Number '$1')}
                  spids: [54]
                )
              ]
            )
          terminator: <Id.Op_Semi _>
        )
        (C {<shift>})
      ]
    )
    (command.CommandList
      children: [
        (command.Sentence
          child: 
            (command.ShAssignment
              pairs: [
                (assign_pair
                  lhs: (sh_lhs_expr.Name name:test_program_prefix)
                  op: assign_op.Equal
                  rhs: {($ Id.VSub_Number '$1')}
                  spids: [60]
                )
              ]
            )
          terminator: <Id.Op_Semi _>
        )
        (C {<shift>})
      ]
    )
    (command.ShAssignment
      pairs: [
        (assign_pair
          lhs: (sh_lhs_expr.Name name:status)
          op: assign_op.Equal
          rhs: {<0>}
          spids: [67]
        )
      ]
    )
    (command.AndOr
      ops: [Id.Op_DPipe]
      children: [
        (command.Simple
          words: [
            {(${ Id.VSub_Name test_program_prefix)}
            {(${ Id.VSub_Name common_objpfx) <stdio-common/tst-printf>}
          ]
          redirects: [
            (redir.Redir
              op: <Id.Redir_Great '>'>
              fd: -1
              arg_word: {(${ Id.VSub_Name common_objpfx) <stdio-common/tst-printf.out>}
            )
          ]
        )
        (command.ShAssignment
          pairs: [
            (assign_pair
              lhs: (sh_lhs_expr.Name name:status)
              op: assign_op.Equal
              rhs: {<1>}
              spids: [93]
            )
          ]
        )
      ]
    )
    (command.AndOr
      ops: [Id.Op_DPipe Id.Op_DPipe]
      children: [
        (command.Pipeline
          children: [
            (command.Simple
              words: [{<cat>}]
              redirects: [
                (redir.HereDoc
                  op: <Id.Redir_DLess '<<'>
                  fd: -1
                  here_begin: {(SQ <EOF>)}
                  here_end_span_id: 219
                  stdin_parts: [
                    <"%.4x:\t`0012'\n">
                    <"%04x:\t`0012'\n">
                    <"%4.4x:\t`0012'\n">
                    <"%04.4x:\t`0012'\n">
                    <"%4.3x:\t` 012'\n">
                    <"%04.3x:\t` 012'\n">
                    <"%.*x:\t`0012'\n">
                    <"%0*x:\t`0012'\n">
                    <"%*.*x:\t`0012'\n">
                    <"%0*.*x:\t`0012'\n">
                    <'bad format:\t"%b"\n'>
                    <'nil pointer (padded):\t"     (nil)"\n'>
                    <'decimal negative:\t"-2345"\n'>
                    <'octal negative:\t"37777773327"\n'>
                    <'hex negative:\t"fffff6d7"\n'>
                    <'long decimal number:\t"-123456"\n'>
                    <'long octal negative:\t"37777773327"\n'>
                    <'long unsigned decimal number:\t"4294843840"\n'>
                    <'zero-padded LDN:\t"-000123456"\n'>
                    <'left-adjusted ZLDN:\t"-123456   "\n'>
                    <'space-padded LDN:\t"   -123456"\n'>
                    <'left-adjusted SLDN:\t"-123456   "\n'>
                    <'zero-padded string:\t"    Hi, Z."\n'>
                    <'left-adjusted Z string:\t"Hi, Z.    "\n'>
                    <'space-padded string:\t"    Hi, Z."\n'>
                    <'left-adjusted S string:\t"Hi, Z.    "\n'>
                    <'null string:\t"(null)"\n'>
                    <'limited string:\t"Good morning, Doctor C"\n'>
                    <'e-style >= 1:\t"1.234000e+01"\n'>
                    <'e-style >= .1:\t"1.234000e-01"\n'>
                    <'e-style < .1:\t"1.234000e-03"\n'>
                    <
'e-style big:\t"1.000000000000000000000000000000000000000000000000000000000000e+20"\n'
                    >
                    <'e-style == .1:\t"1.000000e-01"\n'>
                    <'f-style >= 1:\t"12.340000"\n'>
                    <'f-style >= .1:\t"0.123400"\n'>
                    <'f-style < .1:\t"0.001234"\n'>
                    <'g-style >= 1:\t"12.34"\n'>
                    <'g-style >= .1:\t"0.1234"\n'>
                    <'g-style < .1:\t"0.001234"\n'>
                    <'g-style big:\t"100000000000000000000"\n'>
                    <' 0.10000\n'>
                    <' 0.10000\n'>
                    <'x0.5000x\n'>
                    <'0x1\n'>
                    <
'something really insane: 1.0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000\n'
                    >
                    <'5.87747175411143754e-39\n'>
                    <'5.87747175411143754e-39\n'>
                    <'5.87747175411143754e-39\n'>
                    <'5.87747175411143754e-39\n'>
                    <'5.87747175411143754e-39\n'>
                    <'5.87747175411143754e-39\n'>
                    <'5.87747175411143754e-39\n'>
                    <'5.87747175411143754e-39\n'>
                    <'5.87747175411143754e-39\n'>
                    <'5.87747175411143754e-39\n'>
                    <'5.87747175411143754e-39\n'>
                    <'5.87747175411143754e-39\n'>
                    <'5.87747175411143754e-39\n'>
                    <'5.87747175411143754e-39\n'>
                    <'5.87747175411143754e-39\n'>
                    <'5.87747175411143754e-39\n'>
                    <'5.87747175411143754e-39\n'>
                    <'   4.94066e-324\n'>
                    <'|      0.0000|  0.0000e+00|           0|\n'>
                    <'|      1.0000|  1.0000e+00|           1|\n'>
                    <'|     -1.0000| -1.0000e+00|          -1|\n'>
                    <'|    100.0000|  1.0000e+02|         100|\n'>
                    <'|   1000.0000|  1.0000e+03|        1000|\n'>
                    <'|  10000.0000|  1.0000e+04|       1e+04|\n'>
                    <'|  12345.0000|  1.2345e+04|   1.234e+04|\n'>
                    <'| 100000.0000|  1.0000e+05|       1e+05|\n'>
                    <'| 123456.0000|  1.2346e+05|   1.235e+05|\n'>
                    <'snprintf ("%30s", "foo") == 30, "                   "\n'>
                    <'snprintf ("%.999999u", 10) == 999999\n'>
                    <'\n'>
                    <'Formatted output test\n'>
                    <'prefix  6d      6o      6x      6X      6u\n'>
                    <'%-+#0 |-123   |0377   |0xff   |0XFF   |4294967295 |\n'>
                    <' %-+# |-123   |0377   |0xff   |0XFF   |4294967295 |\n'>
                    <' %-+0 |-123   |377    |ff     |FF     |4294967295 |\n'>
                    <'  %-+ |-123   |377    |ff     |FF     |4294967295 |\n'>
                    <' %-#0 |-123   |0377   |0xff   |0XFF   |4294967295 |\n'>
                    <'  %-# |-123   |0377   |0xff   |0XFF   |4294967295 |\n'>
                    <'  %-0 |-123   |377    |ff     |FF     |4294967295 |\n'>
                    <'   %- |-123   |377    |ff     |FF     |4294967295 |\n'>
                    <' %+#0 |-00123 |000377 |0x00ff |0X00FF |4294967295 |\n'>
                    <'  %+# |  -123 |  0377 |  0xff |  0XFF |4294967295 |\n'>
                    <'  %+0 |-00123 |000377 |0000ff |0000FF |4294967295 |\n'>
                    <'   %+ |  -123 |   377 |    ff |    FF |4294967295 |\n'>
                    <'  %#0 |-00123 |000377 |0x00ff |0X00FF |4294967295 |\n'>
                    <'   %# |  -123 |  0377 |  0xff |  0XFF |4294967295 |\n'>
                    <'   %0 |-00123 |000377 |0000ff |0000FF |4294967295 |\n'>
                    <'    % |  -123 |   377 |    ff |    FF |4294967295 |\n'>
                    <'    (null)\n'>
                    <'(null)    \n'>
                    <'1.234568e+06 should be 1.234568e+06\n'>
                    <'1234567.800000 should be 1234567.800000\n'>
                    <'1.23457e+06 should be 1.23457e+06\n'>
                    <'123.456 should be 123.456\n'>
                    <'1e+06 should be 1e+06\n'>
                    <'10 should be 10\n'>
                    <'0.02 should be 0.02\n'>
                    <'Test ok.\n'>
                    <'sprintf (buf, "%07Lo", 040000000000ll) = 40000000000\n'>
                    <'printf ("%hhu", 257) = 1\n'>
                    <'printf ("%hu", 65537) = 1\n'>
                    <'printf ("%hhi", 257) = 1\n'>
                    <'printf ("%hi", 65537) = 1\n'>
                    <'printf ("%1$hhu", 257) = 1\n'>
                    <'printf ("%1$hu", 65537) = 1\n'>
                    <'printf ("%1$hhi", 257) = 1\n'>
                    <'printf ("%1$hi", 65537) = 1\n'>
                    <'--- Should be no further output. ---\n'>
                  ]
                )
              ]
            )
            (command.Simple
              words: [{<cmp>} {<->} {(${ Id.VSub_Name common_objpfx) <stdio-common/tst-printf.out>}]
              redirects: [
                (redir.Redir op:<Id.Redir_Great '>'> fd:-1 arg_word:{</dev/null>})
                (redir.Redir op:<Id.Redir_GreatAnd '2>&'> fd:2 arg_word:{<1>})
              ]
            )
          ]
          negated: F
        )
        (command.Pipeline
          children: [
            (command.Simple
              words: [{<cat>}]
              redirects: [
                (redir.HereDoc
                  op: <Id.Redir_DLess '<<'>
                  fd: -1
                  here_begin: {(SQ <EOF>)}
                  here_end_span_id: 360
                  stdin_parts: [
                    <"%.4x:\t`0012'\n">
                    <"%04x:\t`0012'\n">
                    <"%4.4x:\t`0012'\n">
                    <"%04.4x:\t`0012'\n">
                    <"%4.3x:\t` 012'\n">
                    <"%04.3x:\t` 012'\n">
                    <"%.*x:\t`0012'\n">
                    <"%0*x:\t`0012'\n">
                    <"%*.*x:\t`0012'\n">
                    <"%0*.*x:\t`0012'\n">
                    <'bad format:\t"%b"\n'>
                    <'nil pointer (padded):\t"     (nil)"\n'>
                    <'decimal negative:\t"-2345"\n'>
                    <'octal negative:\t"37777773327"\n'>
                    <'hex negative:\t"fffff6d7"\n'>
                    <'long decimal number:\t"-123456"\n'>
                    <'long octal negative:\t"1777777777777777773327"\n'>
                    <'long unsigned decimal number:\t"18446744073709428160"\n'>
                    <'zero-padded LDN:\t"-000123456"\n'>
                    <'left-adjusted ZLDN:\t"-123456   "\n'>
                    <'space-padded LDN:\t"   -123456"\n'>
                    <'left-adjusted SLDN:\t"-123456   "\n'>
                    <'zero-padded string:\t"    Hi, Z."\n'>
                    <'left-adjusted Z string:\t"Hi, Z.    "\n'>
                    <'space-padded string:\t"    Hi, Z."\n'>
                    <'left-adjusted S string:\t"Hi, Z.    "\n'>
                    <'null string:\t"(null)"\n'>
                    <'limited string:\t"Good morning, Doctor C"\n'>
                    <'e-style >= 1:\t"1.234000e+01"\n'>
                    <'e-style >= .1:\t"1.234000e-01"\n'>
                    <'e-style < .1:\t"1.234000e-03"\n'>
                    <
'e-style big:\t"1.000000000000000000000000000000000000000000000000000000000000e+20"\n'
                    >
                    <'e-style == .1:\t"1.000000e-01"\n'>
                    <'f-style >= 1:\t"12.340000"\n'>
                    <'f-style >= .1:\t"0.123400"\n'>
                    <'f-style < .1:\t"0.001234"\n'>
                    <'g-style >= 1:\t"12.34"\n'>
                    <'g-style >= .1:\t"0.1234"\n'>
                    <'g-style < .1:\t"0.001234"\n'>
                    <'g-style big:\t"100000000000000000000"\n'>
                    <' 0.10000\n'>
                    <' 0.10000\n'>
                    <'x0.5000x\n'>
                    <'0x1\n'>
                    <
'something really insane: 1.0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000\n'
                    >
                    <'5.87747175411143754e-39\n'>
                    <'5.87747175411143754e-39\n'>
                    <'5.87747175411143754e-39\n'>
                    <'5.87747175411143754e-39\n'>
                    <'5.87747175411143754e-39\n'>
                    <'5.87747175411143754e-39\n'>
                    <'5.87747175411143754e-39\n'>
                    <'5.87747175411143754e-39\n'>
                    <'5.87747175411143754e-39\n'>
                    <'5.87747175411143754e-39\n'>
                    <'5.87747175411143754e-39\n'>
                    <'5.87747175411143754e-39\n'>
                    <'5.87747175411143754e-39\n'>
                    <'5.87747175411143754e-39\n'>
                    <'5.87747175411143754e-39\n'>
                    <'5.87747175411143754e-39\n'>
                    <'5.87747175411143754e-39\n'>
                    <'   4.94066e-324\n'>
                    <'|      0.0000|  0.0000e+00|           0|\n'>
                    <'|      1.0000|  1.0000e+00|           1|\n'>
                    <'|     -1.0000| -1.0000e+00|          -1|\n'>
                    <'|    100.0000|  1.0000e+02|         100|\n'>
                    <'|   1000.0000|  1.0000e+03|        1000|\n'>
                    <'|  10000.0000|  1.0000e+04|       1e+04|\n'>
                    <'|  12345.0000|  1.2345e+04|   1.234e+04|\n'>
                    <'| 100000.0000|  1.0000e+05|       1e+05|\n'>
                    <'| 123456.0000|  1.2346e+05|   1.235e+05|\n'>
                    <'snprintf ("%30s", "foo") == 30, "                   "\n'>
                    <'snprintf ("%.999999u", 10) == 999999\n'>
                    <'\n'>
                    <'Formatted output test\n'>
                    <'prefix  6d      6o      6x      6X      6u\n'>
                    <'%-+#0 |-123   |0377   |0xff   |0XFF   |4294967295 |\n'>
                    <' %-+# |-123   |0377   |0xff   |0XFF   |4294967295 |\n'>
                    <' %-+0 |-123   |377    |ff     |FF     |4294967295 |\n'>
                    <'  %-+ |-123   |377    |ff     |FF     |4294967295 |\n'>
                    <' %-#0 |-123   |0377   |0xff   |0XFF   |4294967295 |\n'>
                    <'  %-# |-123   |0377   |0xff   |0XFF   |4294967295 |\n'>
                    <'  %-0 |-123   |377    |ff     |FF     |4294967295 |\n'>
                    <'   %- |-123   |377    |ff     |FF     |4294967295 |\n'>
                    <' %+#0 |-00123 |000377 |0x00ff |0X00FF |4294967295 |\n'>
                    <'  %+# |  -123 |  0377 |  0xff |  0XFF |4294967295 |\n'>
                    <'  %+0 |-00123 |000377 |0000ff |0000FF |4294967295 |\n'>
                    <'   %+ |  -123 |   377 |    ff |    FF |4294967295 |\n'>
                    <'  %#0 |-00123 |000377 |0x00ff |0X00FF |4294967295 |\n'>
                    <'   %# |  -123 |  0377 |  0xff |  0XFF |4294967295 |\n'>
                    <'   %0 |-00123 |000377 |0000ff |0000FF |4294967295 |\n'>
                    <'    % |  -123 |   377 |    ff |    FF |4294967295 |\n'>
                    <'    (null)\n'>
                    <'(null)    \n'>
                    <'1.234568e+06 should be 1.234568e+06\n'>
                    <'1234567.800000 should be 1234567.800000\n'>
                    <'1.23457e+06 should be 1.23457e+06\n'>
                    <'123.456 should be 123.456\n'>
                    <'1e+06 should be 1e+06\n'>
                    <'10 should be 10\n'>
                    <'0.02 should be 0.02\n'>
                    <'Test ok.\n'>
                    <'sprintf (buf, "%07Lo", 040000000000ll) = 40000000000\n'>
                    <'printf ("%hhu", 257) = 1\n'>
                    <'printf ("%hu", 65537) = 1\n'>
                    <'printf ("%hhi", 257) = 1\n'>
                    <'printf ("%hi", 65537) = 1\n'>
                    <'printf ("%1$hhu", 257) = 1\n'>
                    <'printf ("%1$hu", 65537) = 1\n'>
                    <'printf ("%1$hhi", 257) = 1\n'>
                    <'printf ("%1$hi", 65537) = 1\n'>
                    <'--- Should be no further output. ---\n'>
                  ]
                )
              ]
            )
            (command.Simple
              words: [{<cmp>} {<->} {(${ Id.VSub_Name common_objpfx) <stdio-common/tst-printf.out>}]
              redirects: [
                (redir.Redir op:<Id.Redir_Great '>'> fd:-1 arg_word:{</dev/null>})
                (redir.Redir op:<Id.Redir_GreatAnd '2>&'> fd:2 arg_word:{<1>})
              ]
            )
          ]
          negated: F
        )
        (command.BraceGroup
          children: [
            (command.ShAssignment
              pairs: [
                (assign_pair
                  lhs: (sh_lhs_expr.Name name:status)
                  op: assign_op.Equal
                  rhs: {<1>}
                  spids: [382]
                )
              ]
            )
            (C {<echo>} {(DQ <'*** output comparison failed'>)})
          ]
        )
      ]
    )
    (command.ControlFlow
      token: <Id.ControlFlow_Exit exit>
      arg_word: {($ Id.VSub_DollarName '$status')}
    )
  ]
)